summaryrefslogtreecommitdiffstats
path: root/t/basic.t
blob: 825017e1081ad81e408dae6757468abe298b9f6d (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
#!/usr/bin/env perl
use strict;
use warnings;
use Test::More;

use Devel::CompleteStatement;

ok(Devel::CompleteStatement::complete_statement('if ($x) { $y }'));
ok(!Devel::CompleteStatement::complete_statement('if ($x) { $y'));

ok(Devel::CompleteStatement::complete_statement('if ($x) { BEGIN { die } }'));
ok(!Devel::CompleteStatement::complete_statement('if ($x) { BEGIN { die }'));

done_testing;